您的位置:首页 >精选百科 >

英特尔3D堆叠CMOS晶体管结合背面电源和直接背面接触为下一代芯片提供更高的性能和扩展能力

导读 英特尔正在展示其下一代3D堆叠CMOS晶体管技术,该技术利用背面电源和直接背面接触来为下一代芯片提供更高的性能和扩展性。新闻稿:今天,英...

英特尔正在展示其下一代3D堆叠CMOS晶体管技术,该技术利用背面电源和直接背面接触来为下一代芯片提供更高的性能和扩展性。

新闻稿:今天,英特尔公布了多项技术突破,为公司未来工艺路线图保留了丰富的创新渠道,强调了摩尔定律的延续和发展。

在2023年IEEE国际电子器件会议(IEDM)上,英特尔研究人员展示了3D堆叠CMOS(互补金属氧化物半导体)晶体管与背面电源和直接背面接触相结合的进步。该公司还报告了背面电力传输(例如背面接触)的最新研发突破的扩展路径,并且它是第一个在同一300-300通道上成功展示硅晶体管与氮化镓(GaN)晶体管的大规模3D单片集成的公司。毫米(mm)晶圆上,而不是封装上。

“当我们进入Angstrom时代并在四年内超越五个节点时,持续创新比以往任何时候都更加重要。在IEDM2023上,英特尔展示了其推动摩尔定律的研究进展,强调了我们提供领先技术的能力,这些技术可实现下一代移动计算的进一步扩展和高效电力传输。”

——SanjayNatarajan,英特尔高级副总裁兼组件研究部总经理

为什么重要:晶体管缩放和背面功率是帮助满足对更强大的计算呈指数增长的需求的关键。年复一年,英特尔满足了这种计算需求,表明其创新将继续推动半导体行业的发展,并仍然是摩尔定律的基石。英特尔的组件研究小组不断突破工程的界限,通过堆叠晶体管,将背面功率提升到一个新的水平,以实现更多的晶体管缩放和改进的性能,以及证明由不同材料制成的晶体管可以集成在同一晶圆上。

左图显示了电源线和信号线混合在晶圆顶部的设计。右图显示了新的PowerVia技术,这是英特尔业界首个独特的背面供电网络实现。PowerVia是在2021年7月26日的“英特尔加速”活动上推出的。在活动中,英特尔展示了公司未来的工艺和封装技术路线图。(来源:英特尔公司)

最近发布的工艺技术路线图强调了公司在持续扩展方面的创新,包括PowerVia背面电源、用于先进封装的玻璃基板和FoverosDirect,这些技术源自ComponentsResearch,预计将在本十年投入生产。

我们是如何做到的:在IEDM2023上,ComponentsResearch展示了其致力于创新新方法的承诺,在硅上放置更多晶体管,同时实现更高的性能。研究人员已经确定了通过有效堆叠晶体管来继续扩展所需的关键研发领域。与背面电源和背面接触相结合,这些将是晶体管架构技术向前迈出的重要一步。除了改进背面功率传输和采用新型2D通道材料外,英特尔还致力于到2030年将摩尔定律扩展到封装上的万亿个晶体管。

英特尔提供业界首创、突破性的3D堆叠CMOS晶体管,并结合背面电源和背面接触:

英特尔在IEDM2023上展示的最新晶体管研究成果展现了业界首创:能够以小至60纳米(nm)的缩放栅极间距垂直堆叠互补场效应晶体管(CFET)。这可以通过堆叠晶体管来提高面积效率和性能优势。它还与背面电源和直接背面接触相结合。它强调了英特尔在全栅晶体管领域的领导地位,并展示了该公司超越RibbonFET的创新能力,使其在竞争中处于领先地位。

英特尔在四年内超越了五个节点,并确定了通过背面供电继续缩小晶体管规模所需的关键研发领域:

英特尔的PowerVia将于2024年投入生产,这将是背面供电的首次实施。在IEDM2023上,ComponentsResearch确定了超越PowerVia扩展和扩展背面电力传输的路径,以及实现这些目标所需的关键工艺进步。此外,这项工作还强调了背面接触和其他新颖的垂直互连的使用,以实现节省面积的器件堆叠。

免责声明:本文由用户上传,如有侵权请联系删除!